用VHDL語言編寫七段顯示譯碼器,輸入埠D輸入0 9二進位制

2021-10-13 16:08:31 字數 2065 閱讀 8209

1樓:匿名使用者

共陰極數碼管:

library ieee;

use ieee.std_logic_1164.all;

entity seven_seg is

port(

d :in std_logic_vector(3 downto 0); --4 bits latch input

x :out std_logic_vector(6 downto 0); --to seven segment

);end seven_seg;

architecture arch_seven_seg of seven_seg is

begin

process(d)

begin

case d is

when "0000"=> x <="1111110"; --0 number to show

when "0001"=> x <="0110000"; --1

when "0010"=> x <="1101101"; --2

when "0011"=> x <="1111001"; --3

when "0100"=> x <="0110011"; --4

when "0101"=> x <="1011011"; --5

when "0110"=> x <="1011111"; --6

when "0111"=> x <="1110000"; --7

when "1000"=> x <="1111111"; --8

when "1001"=> x <="1111011"; --9

when others=> x <=(others => '0');

end case;

end process;

end arch_seven_seg;

2樓:懂事電子設計

vhdl的嗎?我這有共陽極的。

用vhdl語言設計一個七段顯示譯碼器電路,要求輸出訊號為高電平有效, 能驅動共陰極數碼管。

用vhdl語言編 七段數碼管顯示譯碼器(用並行語句)

3樓:匿名使用者

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity ymq is

port

(num:in std_logic_vector(3 downto 0);

dout:out std_logic_vector(6 downto 0)

);end ymq;

architecture a1 of ymq isbegin

with num select

dout<="1111110" when "0000","0110000" when "0001","1101101" when "0010","1111001" when "0011","0110011" when "0100","1011011" when "0101","1011111" when "0110","1110000" when "0111","1111111" when "1000","1111011" when "1001","0000000" when others;

end a1;

如何用vhdl語言設計一個七段顯示譯碼器電路?

使用vhdl的case語句,設計七段譯碼器程式,

哪位大神幫我用vhdl語言設計一個輸出低電平有效的4-7線顯示譯碼器?謝謝!!!!!!! 25

4樓:欲語

下面是74ls49的vhdl描述,74ls49就是一個驅動共陰極數碼管的譯碼器: library ieee; use ieee.std_logic_1164.

all; entity ls49 is port(bl_n:in ...

c語言用函式編寫1,c語言用函式編寫11213141n11n

include double fun int n int main c語言編寫函式求 1 1 2 1 3 1 4 1 5 1 6 1 n的值怎麼做?結果是什麼呢?需要準備的材料分別有 電腦 c語言編譯器。1 首先,開啟c語言編譯器,新建一個初始.cpp檔案,例如 test.cpp。2 在test.c...

怎樣用c語言編寫選單,怎樣用C語言編寫選單

對於視窗元件選單,需要根據不同平臺,通過圖形程式設計介面,進行選單的編制。例程 include include include void main if str 80 if str 13 break 按回車鍵確認 畫圖做選單 cleardevice switch choice if i 100 ex...

怎樣用c語言編寫這個程式,怎樣用C語言編寫這個程式

include stdio.h include conio.h include dos.h typedef struct xy value xy value num 26 判斷權位,確定相連的數是否符合 jdg flag case 2 case 1 return 1 flag 初始化權位 增加1 a...